SEMICON West 2016

 

Introducing Our New Automated Die Sorter, The AP+

Royce Instruments and V-TEK International introduce new AP+ Highly customizable die sort solution offers multiple wafer and tray input options... More...

German Semiconductor Suppliers – Automation Network Dresden - Bring Conference on Innovation in Automation to Hillsboro, Oregon

German Semiconductor Suppliers – Automation Network Dresden - Bring Conference on Innovation in Automation to Hillsboro, Oregon 2nd innova... More...

Rudolph Technologies Announces New Clearfind Technology for Advanced Packaging Inspection

Wilmington, Mass. (July 11, 2016)—Rudolph Technologies, Inc. (NYSE: RTEC) today unveiled its new patented Clearfind™ technology, which ... More...

Enhancing Semiconductor Manufacturing Productivity with Temperature Control Technologies

Thermo Fisher Scientific to showcase temperature control devices at SEMICON WEST   SAN FRANCISCO, Calif.– SEMICON WEST (July 12, 2... More...

The American Institute for Manufacturing Integrated Photonics in Rochester approved for $106 million worth of equipment for new Test, Assembly, and Packaging Facility

The American Institute for Manufacturing Integrated Photonics in Rochester has been approved for $106 million worth of equipment and maintenance co... More...

SUNY Poly Celebrates Its 10th Year Exhibiting at SEMICON West with Cutting Edge Developments in Integrated Photonics and Power Electronics

7/8/2016 10:07:04 AM SUNY Poly Celebrates Its 10th Year Exhibiting at SEMICON West with Cutting Edge Developments in Integrated Photonics and Po... More...

SUNY Poly Celebrates Its 10th Year Exhibiting at SEMICON West with Cutting Edge Developments in Integrated Photonics and Power Electronics

7/8/2016 10:07:04 AM SUNY Poly Celebrates Its 10th Year Exhibiting at SEMICON West with Cutting Edge Developments in Integrated Photonics and Po... More...

Axus Technology to Distribute Trusval Technology CO2 DI Water Delivery System

Chandler, AZ -- June 23, 2016 - Axus Technology has been selected by Trusval Technology as the exclusive distributor for the Trusval CO2-DI Water d... More...

Rapid Automated Macro Inspection and the first general Artificial Intelligence for the Semiconductor Industry

Rapid Automated Macro Inspection and the first general Artificial Intelligence for the Semiconductor Industry     San Fr... More...

Kanto Corporation expands yield-enhancing ultrapure sulfuric acid capacity

Kanto Corporation Press Release Kanto Corporation and their partners have completed a large scale expansion of their ultra-high purity sulfuric ... More...

EOTPR 5000 – A Game Changer in Advanced IC Package Inspection

TeraView is pleased to announce the launch of its new product, the EOTPR 5000 at SEMICON West 2016 San Francisco, California July 12, 2016 &ndas... More...

Strong sales of ALD production clusters boost Picosun

ESPOO, Finland, 26th April, 2016 – Picosun Oy, the leading equipment and solutions provider for high end ALD (Atomic Layer Deposition) thin f... More...

Longer life for flexible electronics with Picosun ALD

ESPOO, Finland, 26th May, 2016 – Picosun Oy, the leading supplier of high quality ALD (Atomic Layer Deposition) production solutions, brings ... More...

Picosun and University of Helsinki shed new light on ALD

ESPOO, Finland, 4th July, 2016 – Picosun Oy, the leading supplier of advanced Atomic Layer Deposition (ALD) thin film technology, provides eq... More...

Orbotech’s SPTS Technologies Adds Rapier-300S to its Mosaic™ Platform for Plasma Dicing of 300mm Wafers on Taped Frames

  PRESS RELEASE   Orbotech’s SPTS Technologies Adds Rapier-300S to its Mosaic™ Platform for Plasma Dicing of 300mm W... More...

Orbotech’s SPTS Technologies Presented with Supplier Excellence Award by Qorvo

  PRESS RELEASE:     Orbotech’s SPTS Technologies Presented with Supplier Excellence Award by Qorvo   S... More...

CyberOptics’ Auto Multi Sensors Named “Best of West” Finalist for SEMICON 2016 by SEMI and Solid State Technology

CyberOptics Introduces Large Particle Sensing Functionality in Next-Generation WaferSense and ReticleSense Airborne Particle Sensors Advanced A... More...

CyberOptics Introduces Large Particle Sensing Functionality in Next-Generation WaferSense and ReticleSense Airborne Particle Sensors

CyberOptics Introduces Large Particle Sensing Functionality in Next-Generation WaferSense and ReticleSense Airborne Particle Sensors   ... More...

3D-MICROMAC BRINGS ENABLING, LOW COST-OF-OWNERSHIP LASER MICROMACHINING SOLUTION TO VOLUME SEMICONDUCTOR WAFER AND POWER DEVICE PROCESSING

Company also expands North American operations with new applications lab and service/support facility to meet growing customer demand for TLS-Dicin... More...

Rotary Union, Rotary Joint

1. Sealink Corp. Sealing Systems : Nonlinear contact are based on Elastohydrodynamic lubrication by Reynolds equation. 2. Magnetic Fluid Sealing... More...

Rotary Feedthrough(Not Magnetic Seal)

Sealink Corp. is a leading company in Korea specialized in developing, manufacturing and marketing of linear contact’s vacuum and pressu... More...

ZACKEES WEARABLE ELECTRONICS DEBUTS WITH LIGHT-UP TURN SIGNAL GLOVES

  SAN FRANCISCO - Sept. 13, 2013 - Brand new Bay-area startup, Zackees Wearables Electronics, debuted their first consumer product at T... More...

Wedge and Die Bond Demos at SEMICON West 2016

Carlsbad, CA – July 6, 2016 – Palomar Technologies, the world-leading provider of precision microelectronics and optoelectronic packagi... More...

Tiger Optics Optimizes AMC Monitoring With a Mobile Cart

San Francisco, CA (July 11, 2016)— Tiger Optics LLC, responding to the semiconductor industry’s escalating need to contin... More...

Get 9x Better Wheel Life When Grinding Hard Materials with Strasbaugh’s 7AF-HMG

SAN LUIS OBISPO, Calif. July 6, 2016 – Strasbaugh (OTC: STRB), While the majority of SiC-based devices are built on 4" substrates, the t... More...

New Rotary Sealing Unit by Sealink Corp. for High Vacuum or High Pressure Equipments

Sealink Corp. is a leading company in Korea specialized in developing, manufacturing and marketing of linear contact’s vacuum and pressu... More...

SHENMAO Technology Inc. Introduces SMF-WB02 / SMF-WB51 Water Soluble Flux

SHENMAO America, Inc. Press Release                                ... More...

SHENMAO Technology Inc. Introduces BGA and Micro BGA Bumping Solder Paste

SHENMAO America, Inc. Press Release                                ... More...

SHENMAO Technology Inc. Introduces BGA and Micro BGA Solder Spheres

SHENMAO America, Inc. Press Releas                   ... More...

WRS Materials Acquires Pure Wafer Inc.

WRS Materials (Wafer Holding), a leading provider of specialty silicon and silicon services, today announced that they have acquired Pure Wafer Inc... More...

New Corporate website

Greetings: Today we officially launched our new website. For all marketing  purposes, we will move forward as Pure Wafer.  To help our... More...

Little Things Factory offers training set

The Little Things Factory, a leading supplier of high-quality components and system solutions made of glass, quartz and glass-silicon composite mat... More...

Little Things Factory to Distribute Microreactors in Collaboration with Sigma-Aldrich

Ilmenau (DE)-Buchs (CH): Little Things Factory GmbH (LTF) and Sigma-Aldrich® Corporation have signed a global distribution agreement for a numb... More...

Microfluidic meets quartz glass

Elsoff. The Little Things Factory is now in the position to offer a wide range of reactors and fluidic chips made of quartz (fused silica). In cont... More...

Strem Chemicals, Inc. Achieves ISO 9001:2008 Certification

  Newburyport, Massachusetts, USA - Strem Chemicals, Inc., has been dedicated to providing quality chemicals since its establishment in 196... More...

Asahi/America Welcomes New Sales Team Member, Anthony Hernandez

Asahi/America, Inc., the leader in thermoplastic fluid flow technology, is pleased to announce the addition of Anthony Hernandez to its sales team.... More...

Asahi/America Promotes Michael J. Hansen to Vice President of Western Region and International Operations

Asahi/America, Inc., the leader in thermoplastic fluid flow technologies, announces the promotion of Michael J. Hansen to Vice President of the Wes... More...

FlexTech Joins Wearables TechCon Faculty

Posted on June 13, 2016 Members of FlexTech Alliance join expert panels and sessions to share insights on evolving flexible circuit market.... More...

NextFlex Equipment Briefing for SEMICON West Attendees

Posted on June 14, 2016 Equipment Vendor Reception: Register for the July 15 event by July 8 NextFlex, America’s Flexible Hybrid E... More...

FlexTech Completes Flexible Hybrid Electronics Projects With ENrG, nScrypt, and PARC

Posted on May 11, 2016 FlexTech, a SEMI Strategic Association Partner, today announced the formal completion of three flexible hybrid elect... More...

Rudolph Receives Multi-System Order for Over $11 Million From a Leading OSAT for Fan-out Wafer Level Packing Inspection

Wilmington, Mass. (June 28, 2016)—Rudolph Technologies, Inc. (NYSE: RTEC), a leader in the design, development, manufacture and support ... More...

Precision Optical Transceivers Acquires Membership with AIM Photonics to Advance Local Photonics Sector

           ROCHESTER, NY - SUNY Polytechnic Institute and AIM Photonics joined Precision Optic... More...

Neutronix Quintel (NXQ) Announces New Mask Aligner for Substrates of Multiple Sizes up to 12” or 300mm

Morgan Hill, Calif.  — NXQ, a leading provider of production photolithography equipment, announced the shipment of their new 300mm mask ... More...

Neutronix Quintel (NXQ) Announces Key Partnership with Biomedical Engineering Company, Aiding Leading Edge Research into Genomic Technologies

Morgan Hill, Calif. — NXQ, a leading provider of production photolithography equipment, announced that a leading biomedical start-up has chos... More...

Vanderveer Industrial Plastics produces a 32 finish for most components and materials!

This is an all too common question posed by Engineers and designers alike. And a good, burr-free finish is essential to the success of the product.... More...

【NEWS】CKplas-SEMICON WEST, Expand the market

Chung King Enterprise Co., Ltd Participate to Semiconductor Exhibition- SEMICON WEST 2016, our company provide interdisciplinary wafer(Semiconducto... More...

TDK to Demonstrate TAS300 J1 Load Port at SEMICON West 2016

  TDK Corporation, a leader in factory automation systems, will exhibit its TAS300 J1 Load Port at SEMICON West, July 12-14, 2016 at the Mo... More...

TDK to Preview Equipment Front End Module at SEMICON West 2016

TDK Corporation, a leader in factory automation systems, will exhibit its new Self-circulating Nitrogen Purge Equipment Front End Module (EFEM) at ... More...

Optimal Benefits

Buyer Benefits Reduced Downtime - The combination of JULO's automated system and the content coming from participants makes all... More...

Key Features

Buy Equipment Sell Equipment Request Services Offer Services Engage in a transparent, frictionless market... More...

Busch Wins Annual NXP Semiconductors Best Supplier Award

Busch Wins Annual NXP Semiconductors Best Supplier Award On May 19th, NXP Semiconductors N.V. announced Busch Semiconductor Vacuum Group LLC as... More...

2016, a new virtuous cycle?

OUTLINES: What does the future hold fo the MEMS industry? Amid a commodization paradox, Chinese players taking off, sales stabilization and... More...

CMOS image sensor industry: new markets, new technology dynamics…

OUTLINES: New functions are pushing change in CMOS image sensors, boosting the market towards US$18.8 billion in 2021 at 10.24% CAGR. Beyon... More...

What is driving the advanced packaging market in China?

OUTLINES: Driven by a strong semiconductor market outlook and aggressive investment in advanced packaging capability fueld by strong governme... More...

Hollow Shaft Motors from Harmonic Drive

MA Hollow shaft motor line from Harmonic Drive is available in 5 frame sizes. Hollow shaft design allows cables, shafts or lasers to pass through... More...

FHA-C-PR Hollow Shaft Actuators - High precision rotary positioning

Harmonic Drive LLC Introduces FHA-C-PR Hollow Shaft Actuators  High precision rotary positioning     Peabody, MA &n... More...

Low Ratio, Harmonic Planetary® Gearheads with Helical Gearing

Harmonic Drive LLC Introduces Harmonic Planetary® Gearheads  Quick Connect™ coupling for easy mounting to servo motors   Pea... More...

PPE to launch Nanofluor Y75G high purity, nano-filled elastomer material at SEMICON West 2016

Precision Polymer Engineering (PPE) announces the launch of a highly fluorinated polymer – providing sealing for critical locations in aggre... More...

TU Wien and Silvaco Establish New Christian Doppler Laboratory To Accelerate High Performance TCAD Development

Technology Partnership Will Leverage High Performance Computing with Enhanced Models to Enrich Scalability, Accuracy and Speed of 3D TCAD Simulatio... More...

SILVACO EXPANDS OPERATIONS IN ASIA WITH NEW OFFICE IN CHINA

Silvaco China New Direct Sales and Support Center in Shanghai   SANTA CLARA, Calif.––May 24, 2016 Silvaco, Inc., a leading ... More...

Axus Technology Introduces New PCB Planarization Tool

Chandler, AZ -- June 16, 2016 - Axus Technology of Chandler, Arizona is pleased to introduce the G&P POLI-1300PCB Planarization Tool for large ... More...

Axus Technology Now Offering Gemini-2 Dual Slurry Delivery System

Chandler, AZ -- June 2, 2016 - Axus Technology, is pleased to offer the Gemini-2 Dual Slurry Delivery System. This innovative system delivers a con... More...

Used Semiconductor Equipment and Sub Systems

These are what we are dealing in major. Process  Maker Model METRO HITACHI S-9220 (SMIF) ... More...

Laser micro-machining system

Since September 1995, we have been focusing on laser processing and the integration of opto-mechatronic system on industry innovation development. ... More...

Foliage Announces Plans to Exhibit at SEMICON West 2016

Burlington, MA – June 16, 2016. Foliage, a global product development company, announces its participation at the SEMICON West 2016 event in ... More...

VAT - ENABLING ADVANCED TECHNOLOGY thru PASSION. PRECISION. PURITY.

VAT is the leading global developer, manufacturer and supplier of high-performance high-end vacuum valves, multi-valve modules, edge-welded bellows... More...

VAT Global Services

Over the past fifty years VAT developed a reputation as a worldwide leader in vacuum valve technology. VAT’s global team continues to inno... More...

Multi-Valve Modules

VAT works with customers to design customer-specific modules with integrated valves for the installation into a customer system. VAT’s cus... More...

VAT Welded Bellows

VAT custom engineered edge welded bellows are designed and manufactured to the most advanced specifications on the market. When it comes to high pu... More...

MKS Instruments Announces Closing of Acquisition of Newport Corporation

Andover, Mass., April 29, 2016 – MKS Instruments, Inc. (NASDAQ: MKSI), a global provider of technologies that enable advanced processes and i... More...

Fact Sheet

About MKS Instruments MKS Instruments, Inc. is a global provider of instruments, subsystems and process control solutions that measure, control, p... More...

Inside Process Technology

Coventor’s CTO sounds off about foundry strategy, memory, process technology and lithography. APRIL 21ST, 2016 - BY: ... More...

Imec, Coventor expand collaboration to optimize 7 nm semiconductor manufacturing processes

• Joint development team leverages SEMulator3D to explore semiconductor process  variation issues at unprecedented levels • Collabo... More...

Coventor Announces SEMulator3D 6.0 and New Electrical Analysis Capabilities

Coventor’s Virtual Fabrication Platform Addresses Increasingly Complex Semiconductor Process Design Challenges CARY, NC– June 6, 201... More...

Plasma Etch, Inc. Announces Semicon West Plasma Ashing Demonstrations

Plasma Etch, Inc., a leader in plasma treatment, will be providing live demonstrations of the company’s popular PE-50 Plasma Asher at SEMICON... More...

High Voltage Reed Relays with interesting packing possibilities to be showcased at Semicon West

Pickering Electronics’ newly released Series 67 and 68 Reed Relay range are available for up to 10kV stand-off, 7.5kV switching, with an opti... More...

MDC Gas Delivery Products Division Announces Launch of New PanelXPress™ UHP Manual Gas Panels

June 1, 2016 MDC Gas Delivery Products is proud to announce the official launch of our new PanelXPress™ (PXP) line of ultra... More...

MDC Expands Manufacturing Capabilities to Support Customers in Europe Through Acquisition of CVT Ltd.

January 27, 2016 MDC Vacuum Ltd announced today that they had expanded their manufacturing operations in Europe through the acquisition of CVT L... More...

Solder Paste Mixer

LD Microprecision’s Shaker Array™ solder paste mixer and softener machine can perform three(3) processes simultaneously i.e. thawing, m... More...

Gang Needle

New and Innovative tools with breakthrough technology that help to resolve customers process and reliability related problems Kindly visit t... More...

Hybrid Rubber

Hybrid Rubber™ Collets Perfomance is COST We give you BOTH Hardness of above 80 shore... More...

New Automatic Wafer Mover 2

https://www.youtube.com/watch?v=gFdezBrY48c http://www.h-square.com/Wafer_Movers.html H-Square introduces its AWM2.0 at Semicon West booth 18... More...

Semi-automatic RSP150 reticle pod opener

https://www.youtube.com/watch?v=1Au1eOHo0x8 H-Square introduces a new semi-automatic RSP150 reticle POD opener. Class 1 and ESD safe, the SMIFPO... More...

Plan Optik AG implements high accuracy laser patterning technology

Plan Optik AG, the leading manufacturer of glass, quartz and glass-Si compound wafers has added laser patterning to its structuring techniques rece... More...

Process Carriers for Wafer and Substrate Handling

Plan Optik AG, the leading manufacturer of glass, quartz and glass-Si compound wafers has expanded its production range on carriers for the handlin... More...

Carriers for THIN WAFER HANDLING

Plan Optik AG, the leading manufacturer of glass wafers, has expanded its production on glass and silicon carriers for Thin Wafer Handling of ... More...

Sono-Tek Unveils their NEW SPT200 Spray Photoresist Tool at Semicon 2016

Sono-Tek has supplied precision ultrasonic coating equipment to the semiconductor industry since the 1980s. Advances in MEMs wafer technology have ... More...

October 6, 2015 – Oriental Motor Introduces PKP Standard 2-Phase Stepper Motor with NEW Oriental Motor Original Encoder and New Higher Torque PKPP22_ Series 28 mm (1.10 in.) Motor.

Torrance, CA – ORIENTAL MOTOR USA CORP Performance Driven High Torque Stepper Motors for the Optimum Application Results Oriental ... More...

November 11, 2015 – Oriental Motor Introduces PKP Series Standard 2-Phase 1.8° and 0.9° Stepper Motor with Electromagnetic Brake

Leading the stepper motor market, now with power-off activated electromagnetic brakes Oriental Motor is pleased to introduce our new 1.8° and 0.9°... More...

Magnetically levitated turbopumps ATH 2804 M and ATH 3204 M

The ATH-M pumps offer a gas throughput of over 5,000 sccm of nitrogen for non-heated applications. They also allow a very high throughput with up t... More...

Turbopump HiPace 2800 IT-for Ion Implantation Applications

With the HiPace 2800 IT, Pfeiffer Vacuum presents a turbopump especially dedicated for ion implantation applications. The sophisticated rotor desig... More...

ULTRA-THIN, LARGE APERTURE ROTARY SERVO TABLES

IntelLIDrives, Inc. released a new model of large aperture ultra-thin direct drive rotary tables (DDR) for large 300 mm semiconductor wafers ACR335... More...

AIR BEARING XY PLANAR STAGES

IntelLiDrives Cartesian Planar XY Table offers the unique capability to operate two axes of motion in one plane with one motor. These sys... More...

Direct Drive Air Bearing Rotary Servo Tables

IntelLiDrives, inc. RotoRingTM Series rotary actuators provide superior angular positioning and are designed to eliminate backl... More...

Ethernet LED Signal Tower Series

Introducing the Ethernet LED Signal Tower series from Patlite: The easiest way to connect yet.   TORRANCE, CA – Patlite introd... More...

LA6 REVOLITE Signal Tower

Introducing the LA6 REVOLITE™ from Patlite: New Multi-informational Signal Tower Boosts Process Efficiency   TORRANCE, CA &ndas... More...

Simplifying Solar Cells with a New Mix of Materials

Simplifying Solar Cells with a New Mix of Materials Berkeley Lab-led Research Team Creates a High-efficiency Device in 7 Steps News Release&n... More...

Fundamental Chemistry Findings Could Help Expand Moore's Law

Fundamental Chemistry Findings Could Help Extend Moore’s Law A Berkeley Lab-Intel collaboration outlines the chemistry of photoresist, ena... More...

A Different Type of 2D Semiconductor

A Different Type of 2D Semiconductor Berkeley Lab Researchers Produce First Ultrathin Sheets of Perovskite Hybrids News Release Lynn Yar... More...

STTR Phase I Award from the National Science Foundation

In June, 2016, systeMECH, LLC completed an STTR Phase I project funded by the National Science Foundation to demonstrate the feasibility of a ... More...

STTR Phase II Award from the Air Force

In July, 2015, systeMECH, LLC completed an STTR Phase II project funded by the Air Force to develop a new rolling-based printing app... More...

Order for ABTS Production Burn-in and Test Systems

Aehr Test Systems Announces $1.7 Million Follow-On Order for ABTS™ Burn-in and Test Systems from Leading IC Manufacturer Frem... More...

Order for FOX-15 Production WLBI System, Aligner & WaferPaks

Aehr Test Systems Receives $6 Million Order for a FOX-15™ Multi-Wafer Burn-In System for Production Test & Burn-In Application ... More...

First delivery of FOX-XP Wafer-Level Test and Burn-in System

Aehr Test Systems Announces Delivery of New FOX-XP™ Wafer Level Test and Burn- in System Fremont, CA (February 29, 2016) &nda... More...

Intel Capital Investment Press release

May 20, 2015: Digital Specialty Chemicals is pleased to announce an equity investment from Intel Capital, Intel Corporation's global investment... More...

Next-generation perovskite solar cells made stable by metal oxide ‘sandwich’

UCLA professor Yang Yang, member of the California NanoSystems Institute, is a world-renowned innovator of solar cell technology whose team in rece... More...

Carbonics, spinoff of UCLA’s CNSI Incubator, launches with $5.5 million investment

A spinoff from the Center of Excellence for Green Nanotechnologies at UCLA and King Abdulaziz City for Science and Technology has become a standalo... More...

Scientists grow organic semiconductor crystals vertically for first time: UCLA-led breakthrough could literally reshape solar cells and electronic devices

Our smartphones, tablets, computers and biosensors all have improved because of the rapidly increasing efficiency of semiconductors. Since the turn... More...

SIX SIGMA & Lean Manufacturing

Our company has adopted Six Sigma methodology since year 2002. This is a systematic approach to achieve customers' satisfaction. We focus on pr... More...

R&D and Technical Expertise - Accelerating Our Growth Momentum

Our focus on research and product development enables us to engage better in technical collaborative projects with our customers to deliver customi... More...

Corporate Profile

Riverstone was established in 1991 and listed on the Mainboard of the SIngapore Stock Exchange in 2006. We specialize in th eproduction of Clean... More...

Orbotech Receives $57 million in orders from a Leading Semiconductor Manufacturing Company to Support Fan-Out Wafer Level Packaging Production

Orbotech Receives $57 million in orders from a Leading Semiconductor Manufacturing Company to Support Fan-Out Wafer Level Packaging Production ... More...

FOGALE NANOTECH, A leader in advanced metrology solutions for semiconductor manufacturing, acquired ALTATECH

Delivering leading-edge full process control tools and software for advanced semiconductor manufacturing & enabling more than Moore to become a... More...

New Circular Sputtering Source from Kurt J. Lesker Company

The Kurt J. Lesker Company® is pleased to formally announce the official release of our newest magnetron design─the Torus® Mag KeeperTM UHV... More...

Successful integration of RECIF robotics modules (EFEM) with PEER Group® PTO™

RECIF Technologies designs, manufactures, distributes and maintains robotic handling equipment for the semiconductor industry. PEER Group&re... More...

RECIF delivers its new 300mm prototype to imec for 7nm

RECIF Technologies delivered its first G5+ wafer sorter prototype. This installation in the clean room of the imec (Interuniversity Micro Electr... More...

CHRocodile C – a new, non-contact and compact sensor system for distance and layer thickness measurements

Neu-Isenburg, Germany, 14.4.2016. Precitec Optronik GmbH will showcase its brand-new chromatic sensor at the CONTROL 2016 – the CHRocodile C.... More...

F&K Delvotec Bondtechnik GmbH - Winner of the Productronica Innovation Award 2015

Wire bonding is the leading technology for attaching the power connections to semiconductor devices. Rather like a sewing machine, the wires are dr... More...

camLine GmbH releases XperiDesk 5.3

Reaching new R&D efficiency levels (pressebox) (Petershausen, 17.07.2015) camLine is proud to release XperiDesk (XD) 5.3 that covers a... More...

camLine launched Version 6.1 of Engineering Statistics Software Cornerstone

The next level of power in Design of Experiments Cornerstone Version 6.1 (pressebox) (Petershausen, 10.03.2016) camLine, as the provide... More...

camLine releases the version 7.1 of LineWorks SPACE

Implement SPC policies quickly in environments with high product mix and short production runs LineWorks SPACE Version 7.1 (pressebox) ... More...

USA Patent Office Grants Patent for a method and a system for inspection of voids in a bump

Toronto, CANADA, May 10, 2016 - Eurocontrol Technics Group Inc. (TSX Venture: EUO) (“Eurocontrol” or the “Company”), a Cana... More...

Instant portable ISO 1 Cleanroom

Contact: Brett Hofmann H-Square Corporation (408) 982-9108 x 106 bhofmann@h-square.com www.h-square.com FOR IMMEDIATE RELEASE Instant Cleanrooms Ju... More...

JEOL Introduces New Best-in-Class Field Emission SEM

The new JEOL entry-level, high-performance Field Emission Scanning Electron Microscope JSM-7200F is a best-in-class FE SEM with ultrahigh... More...

NEW Versatile High Throughput SEM from JEOL

JEOL's new JSM-IT100 is the latest addition to its InTouchScope Series of Scanning Electron Microscopes. Representing 50 years of ind... More...

Applied Energy Systems Showcases Upgraded Heating Packages Available for Its Premium Line of SEMI-GAS® Centurion™ Gas Cabinets

MALVERN, PA, March 30, 2016 — Applied Energy Systems (AES), provider of high and ultra high purity gas systems, services, and solutions &ndas... More...

Applied Energy Systems Closes 2015 with Record-Breaking Sales and Growth

MALVERN, PA, December 28, 2015 — Applied Energy Systems, producer of the SEMI-GAS® industry-leading line of ultra high purity gas source ... More...

SEMI-GAS® Introduces Its Xturion™ Adaptable Source System to Enable Flexible Delivery of a Wide Range of Gases within One Custom-Engineered Solution

MALVERN, PA, November 30, 2015 – SEMI-GAS®, the industry-leading line of ultra high purity gas source and distribution systems wi... More...

SEMI-GAS® Introduces Its Xturion™ Adaptable Source System to Enable Flexible Delivery of a Wide Range of Gases within One Custom-Engineered Solution

MALVERN, PA, November 30, 2015 – SEMI-GAS®, the industry-leading line of ultra high purity gas source and distribution systems with robus... More...

Applied Energy Systems Closes 2015 with Record-Breaking Sales and Growth

MALVERN, PA, December 28, 2015 — Applied Energy Systems, producer of the SEMI-GAS® industry-leading line of ultra high purity gas source ... More...

Applied Energy Systems Showcases Upgraded Heating Packages Available for Its Premium Line of SEMI-GAS® Centurion™ Gas Cabinets

MALVERN, PA, March 30, 2016 — Applied Energy Systems (AES), provider of high and ultra high purity gas systems, services, and solutions &ndas... More...

Wafer Recycle

Available size: 2", 4", 3", 6"... Category: Plane/PSS Removeable materials: GaN, AlGaN, AlN Quotation: According to cu... More...

Parts Cleaning

AIX G3, G4, G5, R6 SiC parts : main disc, satellite, ceiling, in/out cover, etc. Thomas swan, Crius I, Crius II: Susceptor Veeco K465, K... More...

Modular Control IPC

Advantech’s Industrial Automation Group is pleased to announce the APAX-5580 Control IPC with a wealth of communication ports such as GbE, US... More...

Advantech and SEMICON

Did you know that for more than 30 years, Advantech has engaged an "open architecture" concept to provide powerful, cost-effective soluti... More...

MAX to lead an Overall Resource Effectiveness Study with a leading OSAT in Asia

February, 2016 - MAX announces it had finalized an agreement to lead an Overall Resource Effectiveness Study(TM) with a leading OSAT in Asia. Our t... More...

MAX COO delivers a case study at Global Semiconductor Forum China

Global Semiconductor Forum (GSF), Shanghai China March 10, 2016: MAX COO - Mr. Ariel Meyuhas delivers a case study titled "A new and very effe... More...

MAX to sign a service agreement in the US with a Semiconductor Automotive Manufacturer

February, 2016 - MAX announces it has signed a service agreement with a US based leading semiconductor manufacturer in the automotive and powe... More...

What sets us apart from the competition…

Exclusive licensed manufacturer of Heatpulse 610 of AG Associates. Advanced Allwin21 Real Time PC Control Technology. Focus on Production-Pr... More...

Non-Contact Thin Film Thickness/Resistance Measurement

Wafer Size: 2″ – 6″ Capability Wafer Loading: Manual Metal Thickness Range: 100Å – 270kÅ... More...

Griffin Motion Announces its High-Precision, 360° Continuous Travel RTS-DD Series Rotary Motion Platform

Ideal for use in a variety of laboratory, factory automation, & semiconductor processing applications, RTS-DD Series rotary stages deliver supe... More...

Fully automated compensation unit for ultra precise distance measurements

When performing interferometric measurements in ambient conditions, air refractive index fluctuations can be a limiting factor: variations in surro... More...

Expanded sensor head portfolio for interferometric displacement measurements

attocube's interferometric displacement sensors IDS and FPS (offering 1 pm resolution &10 MHz bandwidth) are now available with new sensor ... More...

EOR Piezo Rotator: Highest Stability for Nano Positioning Applications

attocube systems, technology leader for nano precise motion solutions is introducing the new EOR rotator. For most nano positioning applications, s... More...

Scientific Machine & Welding, Inc. announces Cleanroom Furniture Line

Austin, TX – Austin, TX based Scientific Machine & Welding, Inc. (SMW) announced today that it is now offering a standard line of stainle... More...

Products advantages

NANO cast is a formulated non-metallic polymer composite which is new energy conservation and environmental protection material.It replaces traditi... More...

Brief introduction of East Star Precision Measure Co., Ltd

JINAN EAST STAR PRECISION PRECISION MEASURE CO., LTD founded in 1989. It’s located in Shandong province, Jinan city. It had been producing gr... More...

Brief introduction of NANO Advanced Materials Technology Co.,Ltd

SHANDONG NANO ADVANCED MATERIALS TECHNOLOGY CO.LTD was found in 2000 and is the professional manufacturer producing mineral casting ... More...

Bellows Mechanical Seals

BellowsTech’s edge welded bellows technology allows for a variety of materials including stainless steel, Inconel®, and Hastelloy... More...

Metal Bellow Actuators for Hydraulic and Pneumatic Systems

Bellows are used in actuator assemblies to transfer pressure or temperature into a linear motion. BellowsTech edge welded metal&nb... More...

Griffin Motion Announces its High-Speed LM3 Series 300mm-Travel Precision Linear Motion Platform

Fully sealed & capable of high linear velocities up to 900mm/s, this compact, low-profile linear stage delivers exceptional accuracy, repeatabi... More...

Griffin Motion Announces its Customizable, Quick-Ship, 150mm-Travel Precision XY Motion Platform

Compact & low profile, the CXY-BS Series 150mm Precision XY Motion Platform delivers high precision, accuracy, & repeatability ideal for fa... More...

MM500 Series Process Moisture Analyzer

  Press Release   March 28, 2016 Online process analyzers for the safe, accur... More...

ZR800 Series Process Oxygen Analyzer

      Press Release   March 28, 2016 ... More...

EC900 Series Process Oxgen Analyzer

    Press Release   March 28, 2016 ... More...

Epoxy Technology Inc. Announces Extensive Line of Specialty Hybrid Adhesives

(Billerica, MA) – January 6, 2016- Epoxy Technology Inc, a leading manufacturer of high performance specialty epoxy, UV & Hybrid adhesive... More...

Epoxy Technology Inc. Announces 50 Years of Adhesive Excellence

(Billerica, MA) – February 17, 2016- Epoxy Technology Inc, a leading manufacturer of high performance specialty epoxy, UV & Hybrid adhesi... More...

High Accuracy Absolute Ring Encoder Now Released

HEIDENHAIN’s High Accuracy Absolute Ring Encoder Now Released For Angular Motion Feedback   SCHAUMBURG, IL (February 2016) &nd... More...

New Lightweight Modular Rotary Encoder for Machine Designers

HEIDENHAIN’s New Lightweight Modular Rotary Encoder for Machine Designers SCHAUMBURG, IL (March 2016) – HEIDENHAIN is meeting the ... More...

LIDA 400 Exposed Linear Encoder Is Redesigned for the Future

HEIDENHAIN’s Successful LIDA 400 Exposed Linear Encoder Is Redesigned for the Future of Motion Feedback   SCHAUMBURG, IL (February ... More...

Suntech Advanced Ceramics (Shenzhen) CO.,LTD.

          Sutech Advanced Ceramics (Shenzhen) CO.,LTD.,  a leading Advanced Ceramics Manufacturer... More...

TSC CMP Vision System

TSC CMP Vision System (RSDS-100) TSC is doing a business with major chip makers such as Samsung, SK Hynix, ST Microelectonics and so on thr... More...

TSC Heater Jacket (TPOH and TPH types)

TSC(Technical Solution Company) is providing TPOH and TPH types of heater jackets.  Heater jackets work to maintain temperature of an objec... More...

FlexAL® ALD system added to CMOS compatible clean room by Fraunhofer Institute for Photonic Microsystems - Center for Nanoelectronic Technologies

The Fraunhofer Institute for Photonic Microsystems in Dresden, Germany recently installed a FlexAL system for plasma enhanced and thermal ALD ... More...

Webinar: “Latest advances in Quantum Computing capabilities presented by leading researchers”

As developments in Quantum Computing accelerate and the potential to increase the capabilities of tomorrow’s computers becomes a reality, lea... More...

New etching process for Magnetic RAM developed by Cornell NanoScale Facility and Oxford Instruments Plasma Technology

The Cornell NanoScale Science and Technology Facility (CNF), a leading university research facility located at Cornell University, Ithaca, NY, and ... More...

Nikon Announces the Industry’s Most Advanced Immersion Scanner for Multiple Patterning

Nikon Announces the Industry’s Most Advanced Immersion Scanner for Multiple Patterning   Tokyo, Japan — February 18, 2016 &m... More...

Vanderveer Industrial Plastics says +/-.0001 is achievable, if given the right material and configuration.

“What tolerance can you hold?” The answer to that depends on several factors including material choice, part configuration and the t... More...

Vanderveer Industrial Plastics has the flexibility to machine short-run, prototype and production work!

“New Year, new me” is a phrase many people preach every January, and this year, Vanderveer Industrial Plastics is no different, only it... More...

Extended Warranty 3-2-2

The guarantee for all Huber products is normally 12 months from the day of delivery. When registering the machine, Huber will give an extended... More...

NEW E-grade® “Explore” for process development

The new E-grade “Explore” for Unistats is more than just another function package. E-grade “Explore” visualizes useful info... More...

Unistats® ‘P’ with stronger pressure pump

Since 1980, Unistats are the leading technological solution for efficient temperature control in process engineering. The Unistat principle ensures... More...

Noncontact Measurement of Semiconductor Chips: Fastest Line Sensors Measuring Tiniest Structures

For the surface of a semiconductor chip (wafer) to be measured or analyzed, 3D-data has to be collected of sufficient resolution to allow the exami... More...

West Bond Inc. celebrates thier 50Th ANNIVERSARY …

                        &nb... More...

Sputter Deposition Equipment From Allwin21

Allwin21 Corp. has been focusing on providing solutions and enhancements to Perkin-Elmer 4400, Perkin-Elmer 4410, Perkin-Elme... More...

LC.300 Series Nanopositioning Controller from nPoint

The LC.300 Series Piezo Controller is the latest addition to nPoint’s nanopositioning electronics. This new closed-loop controller is designe... More...

Optimal+ Announces Agreement with Freescale to Improve Manufacturing Equipment Utilization

Multi-year agreement will drive improvements in utilization, product quality and product yield   HOLON, Israel--Optimal+... More...

35 Billion Chips Analyzed in 2015 Through the Optimal+ Big Data Highway

Aggregated Customer Data Represents the Largest Collection of Semiconductor Manufacturing Data in Industry History, and shers in a New Era of... More...
Page 1 of 2