SEMICON West 2016

 

SpinLift-off

Power your performance today and tomorrow with the advanced metal lift-off process tool from AP&S More...

CleanStep Carrier & Box

Come and see our CleanStep Carrier Box at Booth #2345 or configurate your individual CleanStep with our new online configurator - www.ap-s.de More...

Chem 20™ Chemical Particle Counter

The new PMS Chem 20 Chemical Particle Counter is the world's most sensitive particle sensor for high purity process chemicals. More...

FactoryPro

FactoryPRO is a Complete Factory Operations Management Software which allows manufacturers to get a 360-degree view of the entire manufacturing ope... More...

metatron

metatron is a big data solution that can provide your equipments and manufacturing process with a clear sense of undestanding More...

Gemini-2 Dual Slurry Delivery System

The Axus Technology Gemini-2 Dual Slurry Delivery System delivers a continuous supply of two precisely controlled CMP slurries in an exceptionally ... More...

Trusval CO2-DI Water Delivery System

The Trusval Technology CO2 DI Water Delivery System provides high purity DI water that is also conductive, eliminating ESD risk in wet cleaning and... More...

HLF800 series

Ultrasonic Flow meter measures chemical or purified water in a tube without mechanical parts but by ultrasound. Good accuracy and high temperature ... More...

HUS-3

Portable Sonic Monitor Handy ultrasonic power monitor for daily maintenance. Easy to carry by Li-Po battery. More...

W-357-1MQB-SKC

Quartz vibration type megasonic cleaner optimized for Si wafer cleaning. Chemical resistant quartz vibration unit realizes various cleaning enviro... More...

EOTPR 2000

The EOTPR 2000 is a state of the art non-destructive fault isolation system for today's most demanding IC package failure analysis to isolate IC pa... More...

EOTPR 5000

The EOTPR 5000 is a THz based advanced IC package inspection system. The EOTPR 5000 offers high accuracy and high sensitivity inspection method to... More...

Vented Fasteners

Vented fasteners are designed to help eliminate virtual leaks, improve pump-down times, and enhance the performance of High Vacuum and Ultra High V... More...

WaferSense & ReticleSense Airborne Particle Sensor

New CyberOptics WaferSense® and ReticleSense® Advanced Airborne Particle Sensors now incorporate large particle sensing capability in the same devi... More...

Keylocking Inserts

Keylocking inserts provide a hard internal thread for soft materials or can be used for thread repair when the original threads are damaged. More...

Semiconductor computing systems

Computing systems for semiconductor data / image processing More...

Winter Turn Signal Gloves

Zackees’ award-winning Turn Signal Gloves are the latest, must-have safety innovation for winter cyclists and snow mobilers. More...

Turn Signal Gloves

Zackees’ award-winning Turn Signal Gloves are the latest, must-have safety innovation for cyclists. More...

Frontier, SHB/SHC, PFA Frontier

Innovation and Excellence in Safer Heating Solutions More...

Bubblers, Ampoules, and Containers

Optimal Precursor Delivery Systems for ALD & MOCVD Since 1986 More...

Rotary Union, Muti Port Rotary Sealing Unit

Muti Port Rotary Union - Vacuum and Pressure conditions - Media : Gas, Liquid - Port of No. : 1 ~ 14 More...

Rotary Feedthrough, Rotary Sealing Unit

Rotary, Reciprocating, Rotary at the same time Reciprocating, Multiple Rotary Co-axial, Muti-axial. ․ Media : Reactive gas, liquids, Etc. Temperat... More...

SHENMAO SMF-WB02 / SMF-WB51 Water Soluble Flux

SHENMAO Introduces SMF-WB02 / SMF-WB51 Water Soluble Flux SHENMAO SMF-WB02 / SMF-WB51 Water Soluble Flux's Low Viscosity, High Tackiness (slump ... More...

SHENMAO BGA and Micro BGA Bumping Solder Paste

SHENMAO Bumping Solder Paste PF608-PI-21 (Sn/Ag4.0/Cu0.5/x) and PF606-P-BS1 (Sn/Ag3.0/Cu0.5/x) aim to decrease voids in wafer bumping process, opti... More...

SHENMAO Introduces BGA and Micro BGA Solder Sphere

SHENMAO BGA Solder Spheres for PBGA, CBGA, TBGA, CSP and Flip Chip Assemblies are made to various diameters of 0.76, 0.6, 0.5, 0.45, 0.3, 0.25, 0.1... More...

Heli-Coil

Heli-Coil threaded inserts boast a high wearing tensile strength, low thread friction with tight tolerances, and a high surface quality as well as ... More...

InfiniteFocusSL

Measure form and roughness with only one system - fast and in high-resolution - in the laboratory or in a production near environment. More...

Dispatching by SYSTEMA Dispatcher

Dispatching is a common technique to control the facility production process from a logistical point of view. More...

Polyamide laser-sintering

quick and economical for design, prototype and series, rapid-prototyping and rapid manufacturing More...

Metal laser-sintering

LaserForm A6 metal serial party quick and economical More...

DuraFormTM FLEX laser-sintering

serial parts and rubber-like prototypes fast and economically More...

LAB ON CHIP

Little Things Factory supplies lab on chip components made of glass, quartz and glass-silicon composite materials. More...

MICROREACTORS

Microreactors can be built by the Little Things Factory in sizes up to 300mm x 300mm. The height is dependent on the number of function levels and ... More...

Pelliclized/Unpelliclized Reticle Cleaning System

Pelliclized and Unpelliclized Reticle Cleaning System with Robotic Load and Unload from SMIF Pod More...

High Volume Production

We have the specialized high efficiency machinery necessary to manufacture high volumes of work pieces at a level of precision and cost effectivene... More...

Inspection and quality verification

Using our state of the art inspection equipment and highly trained personnel we will ensure that your products are manufactured to the precision qu... More...

5 axis machining services

We can prototype designs of any complexity with industry leading turnaround times at unmatched quality and precision. More...

Plasma Enhanced Atomic Layer Deposition System

The NLD-4000 is a stand alone, PC controlled ALD system with LabVIEW software featuring four levels password-controlled user authorization. The sys... More...

Royce DE35-ST

Semi-automatic die sorter optimized for small lot production with simple, quick changeover and multiple device inspection options More...

Royce AutoPlacer MP300

Fully Automatic Die Sorter with wafer mapping capability, excelling in multi-project wafers, MEMS devices, and thin fragile die handling More...

Royce 600 Series Bond Test Instruments

Royce 650 Universal Bond Tester, Royce 620 Multitest Bond Tester, and Royce 610 Dedicated Wire Pull Bond Tester More...

SP 63-M Remote IR Butt Welding Tool

Ideal for final remote tie-in welds. Features a compact design for tight spaces, force controlled welding, automated clamp movement and control, a... More...

Pro-Vent Duct System

Pro-Vent® piping is designed and manufactured for ventilation and exhaust systems that transport corrosive fumes. It is superior in performance due... More...

Purad PVDF T-343 Zero Dead Leg Diaphragm Valves

Asahi/America has expanded the size range offering of our T-343 zero dead leg (ZDL) diaphragm valves in Purad® PVDF. Our partner, AGRU, is now mold... More...

Aries Pick-and-Place Handler

Kit compatible pick-and-place with MEMS handling capability More...

EVG® ComBond®

High-Vacuum Wafer Bonding Platform enabling emerging MEMS applications as well as electrically conductive and oxide-free covalent bonds at room or ... More...

EVG®50

Automated Metrology System for industry leading high-accuracy measurements More...

2016FLEX Europe

Flex Europe - October 25-27, 2016 More...

2017FLEX

2017FLEX - June 19-22, 2017 More...

Agilent 7900 ICP-MS System

10x higher matrix tolerance, 10x wider dynamic range and 10x better signal to noise than the previous generation of ICP-MS. More...

UNITY-xr Thermal Desorption Unit

UNITY-xr™ is an industry-leading analytical​ TD instrument for the simultaneous analysis of VOCs, SVOCs and thermally labile compounds collected on... More...

SemDex A Wafter Metrology System

Fully-automated high-speed wafer metrology system that combines metrology and sorting functions in one tool. Enjoy simultaneous measurements and ve... More...

ExPak- nail-less collapsible plywood crate

Nefab ExPak is an exclusive line of nail-less, collapsible, strong plywood boxes. ExPak is ca 60% lighter compared to softwood crate of the same s... More...

Cleanroom Cube

Nefab C2C Cube is a long life returnable packaging system, with a universal inner cushioning concept, offering you fast packing and secure transpor... More...

Spin-Torque-Driven Magnetic Memory and Switching

Systems and methods to generate strong magnetic spin current that offer high efficiency/low power consumption, simplicity, and reliability for use ... More...

Toyota Mirai

Hydrogen Powered Fuel Cell Electric Vehicle More...

Advanced 300mm Integrated Silicon Photonics Dev

State of the art Process Know How to develop your advanced silicon photonics design. More...

Tiger Tank Constant Temperature Baths

Constant Temperature baths in Quartz, SS, and Plastic More...

Quanta-Clean Ultrasonic Tanks

Ultrasonic cleaning tanks for precision cleaning applications, Semiconductor, Medical and Optics devices. More...

HyperClean Megasonic Systems

Megasonic cleaning systems for single wafer and batch processes. More...

6200 AXI/WAXI

6200 AXI/WAXI is high speed 2D In-line Inspection System. 6200 AXI/WAXI detects various wire and other defects. NTI Tube attached in 6200 AXI/WAX... More...

SF160 FCT/NCT X-ray Inspection System

SF160 FCT/NCT is non-destructive inspection system for Semiconductor & Automotive Semicon. SF160 FCT/NCT has dual CT function that provides best CT... More...

6300 NTI X-ray Inspection System

6300 NTI is high speed 3D In-line Inspection System. 6300 NTI can detect various types of defects: BGA, Chip component, QFN, QFP and Lead filling.... More...

F&S Bondtec Series 58

The Production Machine More...

G5 Fully Automatic All-in-One Bonder

Generation 5 Fully Automatic All-in-One Bonder covers all current wire bonding processes. More...

SmartBonder G6

Generation 6 Fully Automatic Wire Bonder capable of ball, wedge and ribbon bonding. The most compact machine in our lineup has the latest F&K Devel... More...

The Cornell Cyborg Moth

Researchers at Cornell are thinking beyond the Internet of Things and into the Internet of Insects! By surgically implanting micro-electronics in ... More...

CK300 FOUP

capacity: 7 / 13 / 25 slot thin wafer More...

Asynchronous VLSI Chip Design and Architecture

Asynchronous systems and circuits, which use signals instead of clocks to indicate completion of an operation, can significantly reduce power consu... More...

Energy Efficient Servo Motors from WITTENSTEIN

cyber® dynamic line servomotors optimize energy efficiency. Equipped with absolute feedback in stainless steel housing, they deliver the highest pe... More...

Galaxie Drive System from WITTENSTEIN

Precision gearhead fused with a high performance motor forms an ultra-compact, hollow-shaft drive system with integrated Industry 4.0 connectivity.... More...

High Vacuum Servo Motors from WITTENSTEIN

Brushless servo motors for vacuum environment provide outstanding reliability and vacuum suitability. With high-grade stainless steel housing and s... More...

Seiwa M Plan APO objective lenses

High-resolution objectives for visible applications. More...

Seiwa Modus 12Z Digital Microscope

Integrated fully motorized digital microscope with 12x optical zoom More...

IR-2200 microscope system

This IR microscope system enables the user to inspect sub-surface images. More...

TDK Flip Chip Die Bonder

TDK high precision AFM 15 Thermosonic and Thermal Compression Flip Chip Die Bonders. TDK flip chip die bonder uses a micro scrub process to lower ... More...

Nano-ID® NPC10 Nano Particle Counter

The Nano-ID NPC10 is the first Condensation Particle Counter specifically developed for ultra-clean manufacturing environments. This instrument com... More...

Syringe Liquid Particle Sampler

The SLS Syringe Sampling System is ideal for applications where precise, small-volume sampling is needed. More...

N2 Purge Equipment Front End Module

The new Self-circulating Nitrogen Purge Equipment Front End Module (EFEM) uses a sealed enclosed frame with integrated TDK Load Ports that provides... More...

TAS300 J1 Load Port

The TAS300 is a wafer transport system for 300 mm diameter wafers and was developed to provide lower cost. In addition, door opening and closing fo... More...

Analytics - Website Traction

The value of the JULO exchange is correlated to the collective value of our registered member community. Our growth is as impressive as the qualit... More...

Key Features

We stand to equally empower all participants of the global marketplace. We create connections catered to you. More...

The New Marketplace

A TRULY TRANSPARENT, AUTOMATED, COMPETITIVE AND SELF-GOVERNED EXCHANGE FOR CAPITAL ASSET EQUIPMENT AND SERVICES. More...

ECLIPSE

Automated and modular and cluster platform performing front-side, back-side and edge inspection on un-patterned and patterned surfaces More...

ORION

The ORION® series of advanced metrology and inspection solutions ensure the full range of inspection and metrology of front-end manufacturing proc... More...

TMAP

TMAP is the most flexible tool for R/D & production which received last year the Award of Best metrology & inspection by the Jury of 3D Incites dur... More...

CP4 Bulk Gas Valve

Full flow valve with 1/3 the size, 1/5 the weight and 1/2 the price. Same flow rates as conventional bellows valves. More...

FHA-C PR

FHA-C PR hollow shaft actuators are available in 4 sizes with 3 reduction ratios. FHA-C-PR offers high-precision rotary positioning with improved o... More...

Harmonic Planetary(R) HPN Gearhead

Value Series Harmonic Planetary® Gearhead with Fast Delivery! Our servo mount gearheads with Quick Connect™ coupling enables our gearheads to conn... More...

KR3 Agilus

The KR 3 AGILUS is ideal for use in 600 x 600 mm automation cells. The KR 3 AGILUS is cost-effective, requires minimal maintenance and is highly re... More...

MVP 2020 DWMS

The MVP 2020 DWMS is MVP's latest Microelectronics and Packaging AOI solution. The MVP 2020 DWMS comes with optional Class 100 cleanroom configurat... More...

MVP 850 DWMS

The MVP 850 DWMS Lead Frames AOI solution is configured with MVP's integrated magazine loaders and unloaders for lead frame processing. More...

MVP 850

The MVP 850 Platform provides multiple Microelectronics and Packaging AOI inspection solutions for in-line and offline processes. More...

Virtual Wafer Fab

VWF enables users to perform advanced analysis tasks like design of experiments (DOE) or optimization, using any of the Silvaco simulators. More...

Victory Process

Victory Process is a general purpose 1D, 2D and layout-driven 3D process simulator for applications including: • Etching and Deposition • Impla... More...

SHA-Y AC Servo Actuator

SHA Series AC Servo Actuators now connect directly with Σ-7 servo drives from Yaskawa to communicate with MECHATROLINK-III and EtherCAT. SHA Actuat... More...

HITACHI S-9220 SMIF

Process: Metrology (CD-SEM) Wafer Size: 8" More...

LAM TCP9400 DFM-P

Process: Poly Wafer Size: 8" More...

Used KLA-Tencor KLA2810

Process: Metrology Wafer Size: 12" More...

MKS Programmable Automation Controller (PAC) 1000

Flexible control architecture with logic distributed throughout all modules More...

D500 Mass Flow Module

Horiba's flow metrology leads the industry and the D500 pressure-based mass flow module not only provides world class flow accuracy and repeatabili... More...

HE-Z-100T High Resistvity Meter

The HE-Z-100T can measure resistivity up to 100TΩ/cm in liquids up to 150°C. For solvent-based coolants that are used in chillers, this means havi... More...

Linear Guides

NSK offers a full line of linear and roller guides. Each rail and linear slide is interchangeable for customer's convenience. Purchase each rail ... More...

Ball Screws

NSK Ball Screws are available in various diameter, length, lead, accuracy, preload and recirculation options to meet your application needs. Each ... More...

UP-100 Micro-Sampling pH Meter

With a new ultra-small capillary electrode. Horiba's UP-100 affords ultra-low sample consumption of just 500 uL/measurement. This miniscule sample... More...

Monocarrier Linear Actuator

NSK integrates ball screw, linear guide and support units into one structure to create Monocarrier™ actuators. More...

Laser micro-machining system

Our recently developed invention is concerning a type of laser processing machine, specifically a IC package laser drilling, double-sided ITO touc... More...

Orion 250i2

The most advanced micro pulse-arc welding systems available that simplifies the welding process by automatically adjusting weld settings for any me... More...

GEMINI®FB XT

Automated Production Fusion Wafer Bonding System combining several performance breakthroughs to surpass ITRS requirements for wafer bonding More...

Chip and Board Level ESD Analysis

ANSYS R17.0 delivers accurate, foundry-certified chip-level ESD solution that enables the detection of interconnect weakness in ESD discharge paths... More...

PCB Thermal and Structural Integrity Design Flow

ANSYS R17.0 delivers a new automated thermal analysis from SIwave that streamlines EM- thermal coupled analysis and links to ANSYS Mechanical for a... More...

ANSYS Chip-Package System Design Flow

ANSYS CPS design flow enables an intelligent, chip-aware system and system-aware chip design to solve power integrity, signal integrity, EMI/EMC, E... More...

Cleanroom Nitrile Gloves

Contamination protection for the semi-conductor industries. More...

Cleanroom Latex Gloves

Contamination protection for the semiconductor industries. More...

Software Tools

Essential software tools for semiconductor test development, including products for data analysis, simulation cyclization, ATE pattern conversion, ... More...

Test Hardware

The hardware team at Test Spectrum has produced thousands of successful test interface solutions for some of the most challenging semiconductor pro... More...

Test Software

Our test engineering staff has set the standard for best in class test solutions, from RF to Mixed Signal to IoT. We support every major ATE platfo... More...

RSP: BKM7

Capacity:maskx1 Material:ABS / PC / PEEK More...

8” Teflon Cassette/ PFA Cassette

capacity: 25 PCS wafer size: 8 inch material: PFA More...

Fineplacer® Femto 2

Fully-automated die bonder with a placement accuracy of 0.5 µm @ 3 sigma. Combined with a refined pattern recognition, the new vision alignment sy... More...

Fineplacer® Lambda

Sub-micron die-bonder for precise die attach and advanced chip packaging. With a modular design, it handles a wide range of applications: laser b... More...

Ultra-Pure Gold (UP Au) Evaporation Materials

In response to customer needs, VEM has developed a proprietary, proven manufacturing process that eliminates more contaminants that cause spitting ... More...

Shield Cleaning Services

VEM has added new equipment and expanded capacity to meet the growing demand for shield cleaning services. More...

Target Materials Available for Vacuum Hot Press

VEM has expanded our portfolio of target materials available for hot press More...

Semi-automatic RSP150 opener

SMIFPO1-6 is a class 1, ESD safe reticle pod opener for all semi-standard RSPs. POD in place sensors and mask protrusion sensor provides safe opera... More...

ATOM™ miniature encoder system

ATOM™ is an innovative non-contact optical incremental linear and rotary encoder system that uniquely combines miniaturization with leading-edge di... More...

RESOLUTE™ absolute encoder system with RTLA linear

RESOLUTE is a true absolute, fine pitch optical encoder system that has excellent dirt immunity, and an impressive specification that breaks new gr... More...

SPT200 Spray Photoresist Tool

The SPT200 is designed to meet the unique challenges of coating high aspect ratios & deep well topographies such as MEMs wafers with photoresist. More...

Leybold 5X Axis MAG Turbo Upgrades for Thin Films

Upgrade your Legacy LAM / Novellus Speed C2, AMAT Ultima or AMAT 5500 Pre-Clean and TxZ with Chamber with a Leybold MAG Turbo More...

Leybold Turbo Upgrades for Legacy Ion Implanters

We have successfully upgraded Varian E220, E500, Eaton 8250, Axcelis GSD and HE and AMAT 9500's. More...

Leybold MAG Turbo Upgrades for Metal Etch

Upgrade your Legacy LAM 9600, AMAT 5200, SPTS or Plasma Therm Metal Etch Chamber with a Leybold MAG Turbo More...

Metis + QuiET

At SEMICON, ETEL will showcase QuiET, a propriety active isolation module for a motion system. QuiET is a 6 Degrees of Freedom (DOF) module cancel... More...

Cross Roller Ring RU

An integrated inner/outer ring type that can be used for both inner ring rotation and outer ring rotation. More...

Actuator Type GL-N

Lightweight, rigid aluminum base with Caged Ball LM Guides used for the guide portion. Screw or belt options are available for the drive system. More...

Circular Linear Motion Guide Type HCR

An LM Guide capable of performing larger circular motion than rotary bearings. More...

CVD Driver

The CVD driver 2-phase bipolar stepper motor microstepping driver (1.8°/0.9°) is available as a driver only or part of a complete package. More...

BMU Series

The BMU Series features a compact, high-power and high-efficiency brushless DC motor and is combined with an easy to use, easy to set speed control... More...

QUARTZ WAFERS

Quartz and fused silica materials • RF applications • Minimal surface roughness • Diameters 50 - 300 mm • Thickness from 200 µm More...

PACKAGING WAFERS

Cap wafers for wafer level packaging up to 300 mm available in various materials and combinations. Applications in consumer electronics, automotive... More...

CARRIER WAFERS

Process carriers for the manufacture of ultra-thin substrates of silicon, gallium arsenide and other special materials More...

ILS-XT SPLIT AXIS MASCHINE

The ILS-XT is a laser processing workstation designed for high-percision applications in the electronic, precision engineering, and photovoltaic in... More...

ILS-LT LINEAR TABLE MACHINE

The ILS-LT is a versatile laser processing workstation designed for high-precision applications in the electronic, precision engineering, and photo... More...

ILS-TT TURNTABLE MACHINE

The ILS-TT is a powerful laser processing workstation designed for high-precision applications in the photovoltaic, precision engineering, and elec... More...

AZ Series

The AZ Series can perform quick positioning operations over a short distance while providing smooth performance. More...

Fanuc® LR Mate 200iD

Simplimatic Automation is a five-time award winning integrator for Fanuc® Robotics America. Simplimatic has the tools and relationships necessary t... More...

SERVO-BELT 350 LARGE APERTURE ROTARY TABLE

Motorized belt actuator with large center aperture More...

AXIOSPECT / AXIOTRON family

Automated and manual microscope for defect inspection, review and metrology with Optics by Carl Zeiss More...

Wet Processing

Wet Process Systems for up to 300 mm Semiconductor Applications More...

ROTARIS

ROTARIS - Universal Sputtering System for Advanced R&D The ROTARIS ultra-high vacuum system is a modular platform for fast, precise and fully auto... More...

Integrated Power Module

The EHT Integrated Power Modules (IPM) are high speed IGBT switches with integrated fiber optic trigger, drivers, and overvoltage monitor that are ... More...

Arbitrary Pulse Generator

The EHT Arbitrary Pulse Generator is designed to produce clean, isolated high voltage square waves with adjustable pulse repetition frequency and d... More...

Nanosecond Pulser

The EHT Nanosecond Pulsers provides unprecedented plasma control for research and industrial applications. More...

Korvus Technology— The Hex

VERSATILE COATING SYSTEM FOR THIN FILM RESEARCH AND DEVELOPMENT More...

TIMARIS

The TIMARIS PVD modules (MTM, FTM, SDM and RSM) incorporate the full scope of sputtering techniques as: DC magnetron sputtering, pulsed DC magnetro... More...

AGS MPS-200-PECVD — Plasma Vapor Deposition

A versatile multi-process PECVD tool with flexible controls. If you need reliability time after time when depositing low temperature films, the MP... More...

AGS MPS-150L-ICP Metal Etch System

The classic, high performance, value priced, RIE plasma tool used throughout the world for over ten years - and still going strong! More...

FAB-LIPS - Local Identification&Positioning System

This innovative real-time localization system for product carriers such as SMIF Pods and carrier boxes based on Ultra Wideband Technology is a cost... More...

Mobile Robot SCOUT®

SCOUT is an autonomously navigating mobile robot for the flexible transportation of materials and handling tasks in semiconductor fabs and other cl... More...

Flextron (corrugated hoses)

Electropolished corrugated stainless steel hoses for the semiconductor industry and fine chemistry. More...

R4i -Manifolds

In our cleanroom custom fabricated manifolds (spools) for UHP gas installations (with diaphragm valves) - ready for installation. More...

ZeroCon (tube connection)

ZeroCon is the new patented, 100% stainless steel connection system for safe and rapid connection of tube systems. More...

Berkeley Lab NERSC

The National Energy Research Scientific Computing Center (NERSC) is one of the largest facilities in the world devoted to providing computational r... More...

Berkeley Lab Advanced Light Source

Berkeley Lab’s Advanced Light Source (ALS), http://www-als.lbl.gov/, is an electron accelerator/storage ring that serves as one of the world’s prem... More...

Berkeley Lab Molecular Foundry

The Molecular Foundry, http://foundry.lbl.gov/, is a Department of Energy-funded nanoscience research facility providing users from around the worl... More...

ISI™ Ceramic-to-Metal Sealed Connection Components

No matter what market you’re in, you need ceramic-to-metal sealed connection components for your process. Fortunately, Insulator Seal (ISI™) design... More...

New SafeView™ Viewport Safety Covers

SafeView™ viewport safety covers offer you the vacuum system safety you need. More...

New PanelXpress™ UHP Manual Gas Panels

PanelXpress™ UHP manual gas panels are a quick and easy solution to your gas delivery process requirements. More...

PanelXpress™ UHP Manual Gas Panels

PanelXpress™ UHP manual gas panels are a quick and easy solution to your gas delivery process requirements. More...

ABTS-Pi Product Information

ABTS-Pi Product Information More...

FOX-XP Product Information

FOX-XP Product Information More...

MPLS Chromatic Confocal Line Sensor by STIL

The MPLS180 is a chromatic confocal line sensor. It projects on the sample surface a line made of 180 discrete points, each corresponding to and in... More...

MC2: Chromatic Confocal Microscope by STIL

The MC2 from STIL is a line-scan inspection tool offering the lateral resolution of a microscope with an extended depth-of focus. It is ideal for t... More...

FOX-1P Product Information

FOX-1P Product Information More...

Column Grid Array

CGA - Ceramic Package with solder columns. More...

CCGA Micro Coil Spring

Better than BGA for high vibration and harsh environments More...

CCGA Solder Column

Solder columns are more reliable than solder ball for large ceramic IC Packages More...

Model 8 Series

The M8 Series Weld Heads are Designed for Narrow Widths Making them Ideal for Fitting-to-Fitting Welds and Limited Clearance Applications. More...

Model 9 Series

The Model 9 Series Incorporates a Two-sided Clamping Mechanism to Hold Components being Welded in Line and Concentric. More...

Model 217

The Model 217. Next Evolution in Orbital Welding. Proprietary S³ Amperage Technology; 100/150A Programmable GTAW Power Supply; Works with all AM... More...

Water recycling and filter systems with back wash

Water recycling and backwash filter systems, distributed by HighQ Factory GmbH, are used particularly in the semiconductor industry. More...

Chemical and slurry supply systems

Special mixing equipment for TMAH (tetramethylammonium hydroxide) and slurry. This dilution systems are characterized by their high accuracy. With ... More...

Nanocharacterization Technology Centers

The CNSI Core Technology Centers provide unrestricted access to a collection of advanced instrumentation for nanocharacterization. The Centers prov... More...

Nanofabrication Technology Centers

The CNSI Core Technology Centers provide truly unrestricted access to a collection of the world’s most advanced instrumentation for nanofabrication... More...

CNSI Startup Incubator Program

CNSI supports the entrepreneurial efforts of researchers and startup companies that develop life-changing products. The CNSI Startup Incubator offe... More...

FlatStocker for Zero Footprint Storage

Roth & Rau - Ortner's FlatStocker is an innovative system to store wafer cassettes, FOUPs and other material carriers underneath the cleanroom ceil... More...

Eta.5, Bell Mat, Gamma & OHM Testing Solutions

- Eta.5 & Bell Mat is an Ultra-short contacts for GHz RF & mixed signal testing. - Gamma & OHM is a Kelvin test solution for mid to high current &... More...

IOSS WID110 Wafer ID Reader

All inclusive camera system for BCR, OCR, DMR and QR Code decoding More...

Fingercots

Cleanroom Fingercots - Nitrile Finger - Rolled / Unrolled More...

SYSTEMA EI Suite

Flexible, scalable and lean equipment integration software More...

EM-6x29 Automatic Mask Pattern Inspection Tool

90 nm technology node Automatic Mask Pattern Inspection tool for pattern features of 0.25 , 0.15, 65 nm pixel sizes, Die-to-Database defect inspec... More...

EМ-5189-xx Multi-beam Laser Pattern Generator

The EM-5189-xx tool is used for making metallized photomasks and wafers in the production process of LSIs, VLSIs and other electronic devices. Patt... More...

ESD Control & Cleanroom Adhesive Products

Integrated manufacturing processes from film extrusion to adhesive coating to conversion More...

Cleanroom Wipes

Cleanroom Wipes - From fabric making to fabric finishing, to cutting and to cleaning of the wipes, these operations are conducted in controlled ... More...

ESD Control & Cleanroom Garments/ Footwear

Garments - First in China to develop and export garments to USA and EU for MNCs in semiconductor wafer fabs Footwear - Developed overboots tha... More...

Transparent anti-static articles

Transparent anti-static articles made from TPU+IDP, such as anti-static mat/curtain, anti-static film, anti-static pick up nozzles, anti-static air... More...

Precision injection and machining parts

Fixtures, toolings, trays, pallets made from given anti-static engineering plastic resins to meet different requirement in assembly processes: * P... More...

Anti-static Engineering Plastics

PEEK base --- TAS8000 series; PEI base --- TAS7000 series; PC base --- TAS 0200 series; TPU base --- TAS 0100 series; More...

CIMControlFramework

The CIMControlFramework equipment control solution allows OEMs to meet the supervisory control, material handling, platform and process control and... More...

CIM300

Cimetrix’s CIM300 software toolkit is designed to help you implement the SEMI GEM300 standards that are required on all 300mm wafer processing equi... More...

CIMConnect

Cimetrix’s CIMConnect software toolkit provides libraries for your engineers to develop and deploy GEM communication interfaces on manufacturing eq... More...

QS C 1500 SM - Large substrat coating system

This coating system can handle substrate sizes up to Ø 1.300 mm; 1.000 mm x 1.000 mm (40” x 40”), Gen 4. More...

MC 205 - Microcluster

The Microcluster Systems can accommodate modules like HMDS vapor prime, photoresist deposition, photoresist development, soft bake, hard bake, cool... More...

Twin Drum

The first all plastic combination drum! More...

Ultra Clean Drum

300 KPA pressure rated 220 Liter (55 gal) drums are designed to withstand high vacuums, especially for Ammonia and Ammonia solutions. More...

Poly IBC UC 1000

The 150 kpa pressure rated 1000 liter (263gal) Poly IBC's (totes) features exchangable 2-layer wall inner bottles totally enclosed in reinforced, t... More...

QS SH 300 (200) SM - Spinner with hotplate module

These spinner systems is for typical open bowl coating applications of wafers and other substrates. More...

Bond Test Tools

For total process and product reliability assurance insists on our compete QA bond test tools. Applicable to Dage, XYZ Tech, Royce, Rhesca and othe... More...

Solution Tools

New and Innovative tools with breakthrough technology that helps to resolve customers processes and reliability related problems. Visit our web... More...

Test Probes / Probe Pins

LD Micro Precision designs, manufactures, and markets a wide range of test probes (both cantilever & blade) commonly use in the die-sort and wafer ... More...

G&P Technology POLI-1300PCB

The G&P Technology POLI-1300PCB planarization tool is specifically designed for use in processing large format multilayer panels and uses a large f... More...

Amaya APCVD Equipment

Amaya's A200 increases throughput with its multi-chamber configuration for insulation layer formation between USG, PSG and BPSG layers. This trans... More...

Dr. T MOCVD Equipment

MOCVD system with patented Vaporizer intended for ferroelectric thin film deposition for mass production next generation FeRAM More...

Wacom Electric High Pressure UV Lamps

High Pressure Mercury Vapor UV Lamps for Nikon, Canon, ASML and Ultratech Stepper Machines. More...

Delta® Deposition Systems

SPTS's Delta PECVD systems are used for a wide range of applications within MEMS, compound semiconductors, and advanced packaging More...

Omega® Etch Systems

SPTS offers advanced etch technologies for a wide range of applications within MEMS, Advanced Packaging, LEDs, high speed RF IC & power semiconductors More...

Sigma® Deposition Systems

The Sigma product range supports wafer sizes from 100mm to 300mm with deposition technologies covering conventional PVD through to MOCVD More...

New Torus® Mag Keeper UHV Sputtering Source

The Kurt J. Lesker Company® is pleased to announce the official release of our newest magnetron design─the Torus® Mag Keeper(TM) UHV compatible cir... More...

Pedestals & Custom Thermal Products

Cast Aluminum Solutions (CAS) has a long history of supplying highly-engineered thermal components to semi-industry OEMs and end-users. We design a... More...

Precision Abrasive Machining (PAM)

Non-traditional, dry machining process produces thru-hole or blind features, channels, mesas and micro-grooves in brittle materials. Complex patter... More...

KuvioVision™ Application Manager

KuvioVision™ Application Manager provides a simple and easy to use mechanism for executing the vision applications. Application Manager can be run ... More...

KuvioVision™ Application Builder

KuvioVision™ Application Builder unleashes the power of KuvioVision™ platform for every vision user. It provides an intuitive graphical environment... More...

Rion KC-51/52 - Hand Held Air Particle Counter

A combination of reliability, versatility, and ease of use, the Rion KC-51/52 HHPC is a must have capability for cleanroom air quality monitoring, ... More...

Rion KS-41B - High Scatter Liquid Particle Counter

Measures high scatter blends and polymeric products (photoresist). Can be used to help formulate new products, monitor production, and inspect qua... More...

Rion KS-19F - 0.03um Liquid Particle Counter

High efficiency 0.03um liquid particle counter for UPW and chemicals. More...

Mini Reticle Sorter (MRS)

The MRS enables fully automatic loading and unloading of reticles from and into reticle SMIF pods. More...

TestWaferKitter (TWK)

The TestWaferKitter (TWK) is used to automatically load carriers with especially composed sets of test wafers. This complex device also serves as a... More...

HAP-HERO® FAB

The HAP-HERO® FAB is a new free moving and free navigating Automated Carrier Handling (ACH) system. It manages the local transport and the loading/... More...

CAST-X High Temp Heaters for Liquids & Gases

CAST-X High Temp Circulation Heaters are capable of heating liquids & gases to 600°C. These temperatures are utilized in special applications withi... More...

PUR-X 2000 Liquid & Gas Heaters

The PUR-X 2000 Circulation Heater uses advanced technology to heat the caustic solvents, chemicals and gases used in wafer washing & drying. It has... More...

NT SERIES - PLANAR PLASMA SYSTEMS FOR ETCH & CLEAN

The NT series features planar electrode arrays that provide multiple work shelves to meet your specific processing needs. Systems range from labora... More...

FA SERIES - RIE /ICP TOOLS

The FA series provides clean anisotrophic or isotropic delayering for integrated circuits and MEMS technology More...

PLASER - Plasma system for package decapsulation

PLASER stand alone plasma system for decapsulation of integrated circuit packages More...

HTS-300S

300 mm HDI Non-ashing, Resist-stripping Processor More...

Wet Station BW3000/2000

300/200 mm Batch Wet Station More...

APOLLON

300 mm Single Spin Wet Etching and Cleaning Processor More...

TGA3000

The TGA3000 will reduce the cost to measure H2O and O2 at ppb/ppt levels in process gases and new line qualification. More...

EFEM platform

Our new robotics platform is offered as EFEM solutions for various substrate sizes and types (3D IC, advanced packaging) More...

Manual Vacuum Wafer Handling (VHS)

RECIF manufactures a complete range of Vacuum Handling Systems. The use of clean organic materials, patented vacuum tip design & true ESD protectio... More...

G5+ 300mm wafer sorter

G5+, new RECIF 300mm sorter takes benefit from newer technologies and modules integrated and tested throughout 2012 – 2015. It aims at setting a ne... More...

CHRocodile C

The ultra compact CHRocodile C sensor offers high precision distance and thickness measurements. The ideal alternative to classical laser triangula... More...

Glass Wafer & Substrates

SCHOTT is one of the world’s leading suppliers of thin and ultra-thin glass wafers and substrates made of different materials in sizes of between 4... More...

SCHOTT® AS 87 eco

SCHOTT® AS87 eco is an aluminosilicate glass that is suitable for chemical toughening (via an ion exchange treatment) to offer extremely high level... More...

FOTURAN® II

FOTURAN® photostruct. glass is emerging as a material for use in highly innovative applic. beyond microfluidics. FOTURAN® II and significantly impr... More...

PistonPRO, PistonPRODual, HeliMASTER

Pump used to deliver material timely and accurately. The control mechanism are applied to address the requirements of the process More...

NDisp3Win

Control Software for i-DR Series Dispensing. NDisp3Win power the advance features required for today's high end and demanding dispensing condition. More...

i-DR Series Fully Automatic Dispenser

All new SMD LED Precision & High Speed Packaging Technology More...

Nitrile Cleanroom Gloves

Nitrile Cleanroom Gloves (Class 100) More...

Latex Cleanroom Glove

Latex Cleanroom Gloves (Class 10, Class 100), More...

InFrame Synapse

The all-in-one solution for SMEs More...

Cornerstone

Data analysis software designed for engineers. More...

LineWorks

MES Modules for high-volume manufacturing. More...

Cleanroom Finger Cots and Face Masks

RS Cleanroom finger cots and face mask are manufactured under strict process control. These products are free from Silicone oil, Amide and DOP, whi... More...

Cleanroom Packaging Bags

RS Cleanroom Packaging bags are made of 100% virgin resins and manufactured in down to ISO 5 cleanroom to meet the stringent requirement.. Hence, t... More...

Cleanroom Nitrile and Latex Gloves

RS Cleanroom Nitrile and Latex gloves symbolize superior quality. These products are produced with special formulation to meet the exacting standar... More...

ONYX

In-line Non-Destructive Inspection and Metrology Analysis for the Semiconductor A Hybrid Configuration of X-Ray Analysis, Automated 3D Microscope ... More...

T-LSM-SV2 Series

Zaber's T-LSM-SV2 Series devices are computer-controlled, high vacuum, motorized linear stages in a compact size. At 21 mm high, these stages are e... More...

X-LRQ-E Series

Zaber's X-LRQ-E Series devices have high stiffness and high cantilever load capabilities in a compact size. Each device is available with an inline... More...

Flat Cables for Semiconductor Applications

Cicoil’s high flex and non-contaminating Flat Cables are designed for the most demanding semiconductor equipment manufacturing applications. More...

Flexible Flat Clean Room Cables

Cicoil, the Global Leader in high performance Flat Cable Technology offers Clean Room Cables & Assemblies for Semiconductor applications. More...

H-Square's Automatic Wafer Mover2 (AWM2)

AWM is a automation tool which will transfer single wafers - between two cassettes - or- wafers within the same cassette. AWMs will reduce or elim... More...

Instant ISO Class 1 Cleanroom

The portable KOACH system produces ISO class 1 cleanroom work space in one minute or less in virtually any environment. More...

HPB-4A

The HPB-4A system provides active thermal control to each device to ensure that the proper thermal stress is applied during the burn-in cycle. More...

HPB-5C

The HPB-5C Burn-In with Test System meets the challenges created by wide variation in heat dissipation, and the diverse burn-in needs high-power VL... More...

LC-2

The LC-2 handles advanced applications through its ability to perform dynamic burn-in with test by gathering data on a large number of devices, the... More...

WOTAN and THOR

WOTAN - fully automated high-speed AOI tool for front and back side macro defect inspection. THOR - fully automated, high-speed, high sensitivit... More...

Lam Research TCP 9600SE

Lam Research TCP 9600SE Metal Plasma Etcher More...

Ichor Designed Remote Screen

Remote user interface screen for Lam Research Standalone and TCP Systems More...

CoventorWare

CoventorWare is an integrated suite of design and simulation software that has the accuracy, capacity, and speed to address real-world MEMS designs. More...

SEMulator3D®

SEMulator3D® is a powerful 3D semiconductor and MEMS process modeling platform that offers wide ranging technology development capabilities. More...

3PC Clamp ball valve- bar made with full machining

Our firm can offer you other design of 3PC Clamp ball valve( bar made with full machining ) , the drawing is available upon your request. More...

Reducing Tee

Our firm can offer the reducer tee and large size is available upon your request. More...

KF 90° Elbow

Our firm can offer the vacuum KF 90° elbow and also ISO type is available upon your request. More...

Cross Section Polisher

Ion Beam Polisher for SEM Samples More...

Ultrahigh Resolution Field Emission SEM

Industry-leading FE-SEM for any type of sample, any type of analysis More...

Compact, High Resolution SEM

The IT-100 InTouchScope is a versatile workhorse SEM with expanded EDS analysis capabilities. It offers high resolution imaging and a range of acce... More...

9000 Wedge Bonder

The 9000 Wedge Bonder is a high-speed fine wire wedge and ribbon bonder, offering the flexibility to change wire feed angles to 45-60° or 90°deep a... More...

5100 Vacuum Pressure Furnace

The Model 5100 is a vacuum and pressure furnace that provides precise automatic control of heating and cooling ramp rates. More...

3880 Die Bonder

The 3880 is built upon the industry proven capability and rich feature set of the 3800 with an entirely new Z-Theta bidirectional bond head with vo... More...

Cimtrak® Micro Magazine Loader

The Micro Magazine Loader is designed to remove product from a manufacturing lines and insert it into a magazine. The unit is designed to handle st... More...

Cimtrak® FIFO/LIFO Buffering System

The Cimtrak® FIFO/LIFO Buffering System is designed to balance the flow of production by temporarily storing work in progress. Available in multipl... More...

Vistec SB3055

The Vistec SB3055 series - with Cell Projection Option - is our commitment to semiconductor manufacturing professionals. More...

Vistec SB254

The Vistec SB254 is a high performance, cost effective and universal electron-beam lithography system, enabling the usage for both direct write and... More...

MRC

MEMS Rubber Contact Socket More...

Xpedition® Package Integrator

Xpedition® Package Integrator provides a holistic co-design methodology that automates planning, optimization of connectivity from a chip through ... More...

Xturion™ Blixer™ Gas Mixing System

The Xturion™ product line includes custom gas delivery solutions for application-specific needs- from gas mixing systems, to sampling systems and a... More...

Nanoturion™ 4 Stick Fully Automatic Valve Manifold

The Nanoturion™ product line includes automatic, semi-automatic and manual valve manifold boxes in a variety of stick configurations which accommod... More...

Centurion™ Three Cylinder Automatic Gas Cabinet

The Centurion™ product line includes automatic, semi-automatic and manual gas cabinets in a variety of configurations—from a one to three cylinder ... More...

Xturion™ Blixer™ Gas Mixing System

The Xturion™ product line includes custom gas delivery solutions for application-specific needs- from gas mixing systems, to sampling systems and a... More...

Nanoturion™ 4 Stick Automatic Valve Manifold Box

The Nanoturion™ product line includes automatic, semi-automatic and manual valve manifold boxes in a variety of stick configurations which accommod... More...

Centurion™ Three Cylinder Automatic Gas Cabinet

The Centurion™ product line includes fully automatic, semi automatic and manual gas cabinets in a variety of configurations—from one to three cylin... More...

ODIN

ODIN is a fully automated high-resolution AOI tool for the optical inspection of patterned and non-patterned wafers, their edges and back sides wit... More...

FALCON 8500

F8500 Reflow Solder/Curing Oven More...

FALCON ICS412

ICS412 Inline Coating/Wafer Wash System More...

PQube 3

World's Best Power Quality Recorder! More...

FALCON ULTRA PROFILE 1200

UP1200 Reflow Solder/Curing Furnace More...

Tessent® SiliconInsight®

Provides an automated interactive environment for test bring-up, debug, and silicon characterization of devices containing Tessent BIST or Tessent ... More...

IPC

• IPC® Industrial Power Corruptor, the power-line sag/swell generator used for compliance testing More...

PQ1

The worlds simplest, tiniest power quality sensor More...

Custom Heat Transfer Products

Custom Heat Transfer Products More...

Tube-in-Tube Heat Exchangers

Tube-in-Tube Heat Exchangers More...

Shell & Tube Heat Exchangers

Shell & Tube Heat Exchangers More...

DAS-6230S

This machine applies epoxy on PCB strips and attaches lens housing on them. More...

PSS-8000SL/ SU

This equipment is contained in Tray Pick&Place the jig to the Package Pick-up and receive a Transfer place a equipment that can accommodate a varie... More...

Auto Ball Placement System: BPS-8200

This equipment is used to attach solder balls on the BGA strips in a full auto mode, and can cope with various sizes of BGAs. Many In addition, i... More...

Emergency Stop Push Button Switch

Fuji Electric has introduced a new line of Emergency Stop Push Button Switches for the North American market which offer advanced features for incr... More...

Kimura

A unique range of fully organic elastomers for semiconductor sealing applications which demand extreme plasma resistance. More...

Perlast

The ultimate perfluoroelastomers for sealing applications where chemical resistance and high temperature performance are critical. Perlast delivers... More...

Odyssey Wafer Prober

A flexible wafer probe solution for 2" through 8" wafers, including custom geometries and even broken wafers. This prober is designed to accommoda... More...

Zeus Gravity Feed handler

Performance meets affordability. The Zeus is designed to meet your requirements for high productivity, package flexibility, test site compatibilit... More...

Earth Leakage Cuircit Breaker G-Twin Lambda Series

Fuji Electric offers the new UL489 ELCB G-TWIN (Lambda) Series boasts a higher performance and a compact design; optimal for semiconductor equipmen... More...

RTBMi Indexing Table

ETEL announces the next generation of high performance indexing tables: the RTMBi. By combining ETEL’s high efficiency torque motors with HEIDENHA... More...

Wafer Re-sizing and Edge Trimming

Using either of our two dicing saws we are able to re-size wafers to any size or shape. Edge trimming bonded wafers to remove the "Knife Edge"... More...

Wafer Dicing & Wafer Bonding

Dicing & Dice Before Grind - Both options available for diameters upto 300mm Wafer Bonding - All techniques available on our system. More...

Wafer Grinding/Thinning

Wafer Grinding or Thinning More...

Turbo-Max-CCR

The user friendly Turbo-Max-CCR comes with our MV-2L Micro Sandblaster, Point Ionizer and Ionized Work Chamber with Arm Supports for operator comfo... More...

AGV for Semiconductor

The Prodrive AGV has been developed to carry loads up to 30kg of FOUPs and SMIF pods for the semiconductor market. Furthermore, boxes can be transp... More...

LBR iiwa

LBR iiwa. The collaborative robotic innovation with sensory capabilities for safety, fast teaching and simple operator control. Opens up new areas ... More...

Agilus

The compact, space-saving robots from KUKA are among the fastest and most reliable robots in their class. All of them utilize the advantages of the... More...

Piezo based nano stages for industry & research

attocube, technology leader for nano precise motion solutions, offers a broad portfolio of piezo stages, ultrasonic drive units, integrated system ... More...

IDS3010 |pico precise interferometric sensor

The revolutionary IDS3010 sensor enables displacement detection and vibration measurements in the sub-nanometer range and offers unmatched specific... More...

WetCon100

Wet process concentration monitor (conductivity based) More...

NTM Delta

In-situ Wafer Temperature Monitoring More...

WetSpec200

In-Line Concentration Monitor More...

RTS-DD Series Precision Rotary Tables

Ideal for a variety of laboratory, factory automation, and semiconductor processing applications, RTS-DD Series rotary stages deliver superlative p... More...

CXY-BS Series Precision XY Motion Platform

Compact, low profile, and extremely customizable, the CXY-BS Series 150mm Precision XY Motion Platform delivers high precision, accuracy, and repea... More...

LARGE APERTURE XY-ROTARY COMBINATION STAGES

Precision XY-Rotary stage with large center opening More...

ULTRA-THIN, LARGE APERTURE ROTARY SERVO TABLES

Large aperture ultra-thin direct drive rotary tables (DDR) for large 300 mm and 450 mm semiconductor wafer processing More...

LNS-BS Series Precision Linear Motion Platform

These compact, low-profile ball-screw stages exhibit exceptional accuracy, repeatability, flatness, and straightness, and are built for high duty c... More...

ATH-M Series Magnetically Levitated Turbopumps

The ATH-M series magnetically levitated turbopumps consists of a 5-axis active magnetic bearing which monitors the position of the rotor. This high... More...

A4 Series Dry Pumps

The dry, multi-stage Roots pumps in the A4 series provide pumping speeds of 100 to 2,300 m3/h (58.9 to 1353.7 cfm). These energy-efficient and reli... More...

ASM 380- High performance, mobile leak detector

The ASM 380 is a mobile, high-performance leak detector optimized for rapid pump down and short response times for large test objects. Ideally suit... More...

Mineral casting basis

To design and manufacture the mold that can meet the function requirments of the products More...

Machining center

To design and manufacture the mold that can meet the function requirments of the products More...

Machining center

design and produce the product structure according to customer's request More...

Zenith 100 High Temperature RTP

The Zenith 100 is a high temperature RTP system for processes up to 2000°C. Applications: Silicon Carbide implantation annealing and graphene gene... More...

MC200 DLI-CVD / DLI-ALD System

The MC200 is a Direct Liquid Injection deposition system. The system can perform processes in CVD, ALD and pulse pressure CVD modes. The direct liq... More...

AS-Master RTP system

The AS-Master is a 200 mm cold wall chamber RTP system with vacuum and high vacuum capabilities. The system is available with manual loading for pr... More...

Lifter Bellows and Assemblies

Lifter bellows and assemblies are available in a variety of materials including AM350, 304L and 316L stainless steel. For applications requiring r... More...

Metal Bellows Accumulators

BellowsTech metal bellows accumulators are used in variety of industries to store the energy of a liquid or gas. Edge welded bellows technology is ... More...

New Line of E-Style Cuff Flange Metal Bellows

New series of E-style Cuff Flange metal bellows in 18 sizes expands the line of edge welded bellows presently being offered on the BellowsTech onli... More...

ORCAS

ORCAS is ASM's Automated Wafer/Panel Level Encapsulation Solution More...

SP2100

Verity’s SP2100 Spectral Reflectometer is designed for a wide variety of film thickness and depth measurement applications, including those require... More...

SD1024X

Embedded Spectrometer More...

SD1024G

The SD1024G Series spectrometers are optical spectrum emission monitors that integrate sophisticated embedded processors and software designed to p... More...

RS・M/S ~STEPPING MOTOR DRIVEN TYPE AIR REGULATOR~

Ultra accurate and stable pressure regulation. More...

BF Cylinders

FUJIKURA BF Cylinder is a unique air cylinder for precision control. More...

Sinfonia N2 Purge Load Port

N2 Purge Application — Contribution to Next Generation Technology (12nm and below) More...

Sinfonia 200/300mm Auto-Switching Adapter

Handling 200mm Open Cassette at 300mm FOUP Load Port More...

Auto Teaching

Auto Teaching Solutions - Simplify Tool Setup and Reduce Down Time More...

Valves

High Vacuum Valve More...

Components

Full line of NW Flanges & Fittings; ISO Flanges & Fittings; CF Flanges & Fittings ;Adaptor Fittings; Flexible Hoses & Couplings and Weld Fittings. More...

Custom Chamber

Vacuum fired 304 S.S. and 316L stainless steel. EP and metal seal are recommended for ultra-high vacuum chamber. More...

EIB EDA for Big Data

EIB® EDA provides SEMI Interface A (EDA) enabling OEMs to deliver real-time data on-demand in high volumes without affecting normal equipment perfo... More...

PFAT

PFAT™ is a highly-configurable test application that validates equipment software and SECS/GEM host communications. PFAT can integrate with indepen... More...

PEER FACTORY

PEER FACTORY® is a flexible, scalable, and lean automation software solution targeted for high-technology manufacturing environments where commerci... More...

SHELVING UNITS

SMW offers a high quality range of solid or perforated shelving units fabricated from electropolished 304 stainless steel More...

CLEANROOM BENCHES

Benches are designed to assist in putting on shoe covers and reduce bending. Units are electropolished 304 stainless steel. More...

HEAVY DUTY TABLES

Tables are electropolished 304 stainless steel and optional perf tops, shelves, drawers, keyboard holder, flat screen holder and/or feet options. More...

LIDA 400 Linear Encoder

HEIDENHAIN’s successful LIDA 400 exposed linear encoder is redesigned for the future of motion feedback. New features include offering a resolution... More...

ECA 4000 Absolute Ring Encoder

HEIDENHAIN now introduces the next generation of the highly successful ECA 4000 incremental ring encoder. The new design features enable it for us... More...

ERO 2000 Modular Rotary Encoder

HEIDENHAIN’s new ERO 2000 high-speed, high-resolution modular rotary encoders for motion feedback offer a very compact design, excellent signal qua... More...

ceramic bearing

wear resistant, anti-corrosion,high temperature resistant, anti-oxidation, self-lubricated. More...

SMT Nozzle Tip

Wear resistant, ESD SAFE, high precision More...

CMP Vision System (RSDS-100)

This system is used for detecting scratches occurred by the impurities during the CMP process. More...

TPH (PTFE Fabric) Heater Jacket

It is made from PTFE material and used at various vacuum lines and gas lines in semiconductor and display industries. Especially it is fit for pipe... More...

TPOH (Polymide) Heater Jacket

TSC invented TPOH (Polymide) first. It has various good things, compared to the existing heater jackets. Especially it is harmless to humans and ec... More...

MM510 Moisture Analyzer

The MM500 series analyzers utilize a phosphorus pentoxide (P2O5) sensor which is an absolute moisture measurement following Faraday’s Law; therefor... More...

Model ZR810 Oxygen Analyzer

Uses non-depleting zirconium dioxide technology Bench-top, panel-mount or wall-mount, IP66 / NEMA 4X enclosure, rack-mount 19” 4U – the rack-mou... More...

Model EC9513 Oxygen Analyzer / Nitrogen Controller

Measures oxygen from 0.1 ppm 30% and controls the flow of an inert gas to keep oxygen content within a preset range. Uses a dual sensor configur... More...

microDICE

TLS-Dicing System for Separation of SiC Wafers 3D-Micromac‘s high-performance microDICE laser dicing system separates wafers into dies using TLS-D... More...

EIB OEM

EIB® OEM Product Suite is an integrated platform that reduces the cost of satisfying diverse factory automation requirements. EIB quickly delivers ... More...

PTO

PTO® is an industry-leading, field-proven, tool automation software product for LED, semiconductor, and MEMS equipment manufacturers. PTO features ... More...

Remicus

Remicus™ is a cloud-based infrastructure enabling secure, scalable remote access to equipment and equipment data located inside a fab, empowering g... More...

Edge Welded Bellows

VAT custom engineered edge welded bellows are designed and manufactured to the most advanced specifications on the market. When it comes to high pu... More...

Micro Denier Wiper

Microdenier wipers feature the highest level of contamination control without the recontamination potential of conventional cleanroom laudries. More...

ESD Nylon Gloves

Excellent ESD & Anti-slip function by PU coating on finger tip & Palm with additional ESD coating process for Nylon gloves. More...

Sticky Mat

CCT Stikcy Mats are necessary to maintain and control cleanness in electricity & semiconductor assembly line, phamaceutical company and hospital. ... More...

Consulting

We support industrial companies, investors and R&D organizations worldwide to help them understand markets and follow technology trends to develop ... More...

Market & Technology Reports

Yole Développement, together with KnowMade and System Plus Consulting, publishes a comprehensive collection of reports from market and technology t... More...

SEMICONDUCTOR VACUUM COMPONENTS

A premier fabricator of PEEK, Polyethylene, Polycarbonate, and Teflon, as well as industrial laminates, like Micarta® G10 & FR4. More...

PlasmaPro 80

PlasmaPro 80 offers versatile plasma etch and deposition solutions on one platform with convenient open loading. More...

FlexAL

Remote plasma & thermal ALD in one flexible tool More...

PlasmaPro 100 range

Etch and deposition tools for wafer processing The PlasmaPro 100 range of etch and deposition tools can be fitted with a variety of substrate elec... More...

MEMS Steppers

Basic and enhanced systems available to satisfy varied product/budget requirements. Projection-based designs minimize mask cleaning and defects. Su... More...

WLS27 LED Strip Light

Heavy-duty LED strip lights are fully enclosed in a shatterproof polycarbonate shell to provide brilliant illumination for a broad range of applica... More...

XS26-2 Expandable Safety Controller

With the option to add up to eight I/O expansion modules, the XS26-2 expandable safety controller has the capacity to adapt to a variety of machine... More...

Q4X Versatile, Rugged Laser Distance Sensor

The versatile, rugged laser distance sensor has superior performance, detecting distance changes as small as 1 mm with a reliable sensing range of ... More...

NSR-S631E Immersion Scanner

Delivers world-class device patterning and productivity for 7 nm node applications. Ensures maximized yield and fab flexibility with exceptional o... More...

Bath and circulation thermostats

The circulators are split into two product lines, the CC-models and the simpler MPC models. Both product lines represent classically constructed la... More...

Chillers

Many applications depend on a reliable source of cooling. Circulating chillers in the Unichiller range offer an ideal solution for environmentally ... More...

Dynamic Temperature Control Systems

Unistats are the ideal solution for fast and precise thermal control of externally connected applications. In comparison to other circulators, the ... More...

CHRocodile 2 S/ 2 SE

Non-Contact White-Light Sensor for Layer Thickness and Topography. For Plastics, Glass, Liquids More...

Line Sensor CHRocodile CLS

Ultrafast 3D inspection: For Non-Contact Measurements of Surfaces and Topography. Best chromatic confocal measuring tool for all materials – inclu... More...

Portable Thin Film Measurement System

FR-pOrtable is a unique turn-key solution for accurate & precise optical characterization of transparent and semi-transparent single films or stack... More...

SPIN150i Spin Coater

The SPIN150i spin coater is suitable for processing fragments of 5mm up to Ø150mm/6 inch wafers (or Ø160mm substrates), or 4"x4" square substrates.... More...

SPIN200i Spin Coater with MegPie

The SPIN200i single substrate spin processor with a single-wafer Megasonic transducer for cleaning and sonochemical processing. More...

Equipment Sentinel FDC Software

Equipment Sentinel is a fault detection and classification software for process and equipment control. Equipment Sentinel Software can identify and... More...

JetStep Lithography System

The JetStep System is a revolutionary 2X reduction stepper specifically designed to meet advanced packaging challenges. With a large field of view ... More...

NSX 330 Series

The NSX 330 Series is a 2D/3D combined inspection and metrology system for advanced packaging applications. More...

AlN Wafer

MARUWA Aluminum Nitride Wafer offers high thermal conductivity and high resistivity for Semiconductor Devices, RF components, LED, Power Resistor a... More...

AlN for Semiconductor

AlN offers a coefficient of thermal expansion near that of silicon, and excellent plasma resistance. More...

Quartz Glass

Maruwa's quartz glass products are manufactured with high-purity quartz materials which are chosen very carefully. More...

Ceramic-to-Metal Feedthfoughs

Hermetically-sealed ceramic-to-metal feedthroughs, Coaxial and multi-pin connectors, Double-ended connectors, Floating coaxial connectors, Ceramic ... More...

scia Trim 200

Ion beam trimming tool for localized film thickness trimming in MEMS applications. Typically used for frequency trimming in manufacturing of acoust... More...

scia Mill 150

System for large area Ion Beam Etching and Milling of single substrates up to 150 mm. Typical applications are the structuring of metal films for M... More...

scia Magna 200

Cluster system for magnetron sputter deposition of up to 200 mm wafers. Typical applications include piezoelectric layers, optical coatings and pas... More...

AW-901e / AW-903e Plasma Etch

The AW-901eR & AW-903eR single-wafer dry etchers are automated tools designed as a flexible 13.56MHz RF Parallel Plate plasma etching systems for h... More...

AW-105R Plasma Asher Descum for III-V Materials

The AW-105R single-wafer photoresist asher and descum is an automated tool designed as a flexible 13.56MHz RF Parallel Plate plasma photoresist rem... More...

AccuThermo AW 610 , the most popular RTP

The AccuThermo AW610 was derived from the AG Associates 610 production-proven design. Allwin21 Corp. is the exclusive manufacturer of the AG Assoc... More...

DIAPHRAGM VALVES

North American Distribution of Superlok Instrumentation Fittings and Valves. More...

SEM-Prep 2 Ion Milling Sytsem

The SEM-Prep 2 allows for rapid slope cutting with its high-energy ion gun followed by gentle surface cleaning with the low-energy ion gun to provi... More...

FACE SEAL MICRO WELD FITTINGS

North American Distribution of Superlok Instrumentation Fittings and Valves. More...

TUBE FITTINGS

North American Distribution of Superlok Instrumentation Fittings and Valves. More...

Precision Wafer/Glass Cutter

The SPI Supplies line of Precision Wafer/Glass Cutters are designed to quickly and easily cut large pieces of materials into smaller sizes. By crea... More...

Custom Transformers

The custom transformers built by Quality Transformer and Electronics are designed to meet the requirements of the photovoltaic and semiconductor in... More...

Apollo Stripper

Photoresist Stripper More...

Orion PECVD

Plasma Enhanced Chemical Vapor Deposition System More...

Minilock-Phantom loadlocked RIE

Reactive Ion Etch System with a Vacuum Loadlock More...

Plasma Prep Reactive Ion Etcher

The SPI Supplies Plasma Prep Reactive Ion Etcher (RIE) is a table-top plasma chemistry reactor designed to provide anisotropic etch plasma technolo... More...

FlexiForce

FlexiForce force sensors are ultra-thin and flexible printed circuits, which can be easily integrated into force measurement applications. More...

I-Scan

I-Scan® is a powerful tool that accurately measures and analyzes interface pressure between two surfaces, utilizing a thin and flexible sensor. More...
Page 1 of 5